BASYS3でディスプレイ出力
BASYS3を秋月で購入したので,ディスプレイ出力のテストをしてみる.
Block Designで次のIPを配置.括弧内はインスタンス名
- Clocking Wizerd (clk_wiz_0)
- clk_out_1を25.2MHzに設定
- clk_in1をMake Externalしてclk端子を作成
- resetをMake Externalしてreset端子を作成
- Processor Systetm Reset (proc_sys_reset_0)
- Test Pattern Generator (v_tpg_0)
- AXI4-Lite Register InterfaceとEnable AXI4-Stream Slave Interfaceを無効
- Video Component WidthをManualにして8bitに設定
- Output Video FormatをManualにしてRGBに設定
- Test PatternをColor Barsに設定
- Input Frame Dimensionsを640x480に設定
- AXI4-Stream to Video Out (v_axi4s_vid_out_0)
- vid_hsyncをMake ExternalしてHsysc端子を作成
- vid_vsyncをMake ExternalしてVsysc端子を作成
- Video Timing Controller (v_tc_0)
- Include AXI4-Lite Interfaceを無効
- Enable Detectionを無効
- Video Modeを640x480pに設定
- Slice (xlslice_0)
- Din Widthを24,Din Fromを7,Din Donw Toを4に設定
- DountをMake ExternalしてvgaRed[3:0]端子を作成
- Slice (xlslice_1)
- Din Widthを24,Din Fromを15,Din Donw Toを12に設定
- DountをMake ExternalしてvgaGreen[3:0]端子を作成
- Slice (xlslice_2)
- Din Widthを24,Din Fromを23,Din Donw Toを20に設定
- DountをMake ExternalしてvgaBlue[3:0]端子を作成
- Constant (xlconstant_0)
- Const Valを0に設定
- Constant (xlconstant_1)
- Const Valを1に設定
後は下のように接続する
digilentのBASYS3のWikiからMaster XDCをダウンロードして,Add Sourcesする. https://reference.digilentinc.com/doku.php?id=basys3:basys3
Basys3_Master.xdcを開き,Clock signal,VGA Connectorのコメントを外す. ButtonsのbtnCを下記のように変更.
set_property PACKAGE_PIN U18 [get_ports reset] set_property IOSTANDARD LVCMOS33 [get_ports reset]
後は,Synthsis→Implementation→Generate Bitstreamして焼くだけ. こんな感じの出力が確認できる.